Notebookcheck Logo

Intel dettaglia nuove innovazioni di processo e nomi di nodi, Alder Lake 10 nm Enhanced SuperFin è ora Intel 7; Intel 20A è il processo a 2 nm per il 2024

Pacchetto di prova Intel Meteor Lake basato sulla tecnologia di stacking 3D Foveros. (Fonte immagine: Intel)
Pacchetto di prova Intel Meteor Lake basato sulla tecnologia di stacking 3D Foveros. (Fonte immagine: Intel)
Durante l'evento Intel Accelerated, l'azienda ha detto che si sposterà verso una nomenclatura di processo più snella a partire da Alder Lake, che sarà parte di Intel 7 seguito da Intel 4 Meteor Lake nel 2022/23. Intel ha anche annunciato i piani di entrare nel processo di scala Angstrom con il primo processore 20A previsto nel 2024, mentre dettaglia anche i nuovi miglioramenti di packaging EMIB e Foveros

Intel ha dettagliato una serie di innovazioni di processo e packaging come parte della sua strategia di produzione di dispositivi integrati (IDM) 2.0 durante il suo webcast Intel Accelerated di oggi. Queste innovazioni ci danno un quadro della roadmap di processo di Intel fino al 2025 e oltre.

Intel ritiene che i numeri indicati per indicare un nodo di processo siano "solo numeri" e che ci sia un'incoerenza intrinseca nell'attuale schema di denominazione. Pertanto, l'azienda non indicherà più il nodo di processo in termini di nanometri ma utilizzerà un nuovo schema di numerazione come segue:

Intel 7: questo è il nuovo nome per il processo Enhanced SuperFin a 10 nm e sarà presente nelle famiglie di processori Alder Lake (client, 2021) e Sapphire Rapids (datacenter, Q1 2022). Intel 7 è destinato a offrire un aumento delle prestazioni dal 10% al 15% rispetto all'attuale processo SuperFin a 10 nm.

Intel 4: Questo è l'attuale componente Intel a 7 nm che utilizza la litografia a ultravioletto estremo (EUV) con un aumento stimato del 20% delle prestazioni per watt. Intel 4 sarà presente in prodotti come Meteor Lake (client) e Granite Rapids (datacenter) per la produzione nel 2H 2022 e la spedizione nel 2023. La lunghezza d'onda EUV ultra-corta permette a Intel di offrire caratteristiche come 192 unità di esecuzione (EUs) nella iGPU di Meteor Lake e un numero di core significativamente migliorato (fino a 120?) per un processore Granite Rapids dual-die.

Intel 3: Intel 3 vedrà l'ultimo, massimo sfruttamento delle ottimizzazioni FinFET. FinFET ha debuttato per la prima volta con i processori Ivy Bridge a 22 nm nel 2011 e da allora ha fatto molta strada. Intel 3 utilizza EUV aumentata per un aumento delle prestazioni per watt di circa il 18% rispetto a Intel 4 insieme a ulteriori miglioramenti dell'area. Intel 3 inizierà la produzione nel 2H 2023.

Intel 20A: Con Intel 20A, stiamo entrando ufficialmente nell'era degli Angstrom (10 Angstrom = 1 nm). Intel 20A vedrà l'introduzione di una nuova architettura di transistor chiamata RibbonFET per una commutazione di transistor più veloce, pur ottenendo la stessa corrente di pilotaggio in un ingombro minore. Insieme al RibbonFET debutta anche PowerVia, la prima implementazione dell'azienda di un meccanismo di erogazione dell'energia sul retro che nega la necessità di instradare l'alimentazione sulla parte anteriore del wafer.

Intel 20A si svilupperà nel 2024 e Qualcomm dovrebbe essere uno dei partner che sfrutterà questo nodo di processo.

Intel 18A e oltre: Intel ha detto che Intel 18A è già in sviluppo per l'inizio del 2025. Intel 18A utilizzerà ulteriori perfezionamenti nel RibbonFET e sarà tra i primi a ricevere e distribuire la litografia EUV ad alta apertura numerica (NA) in collaborazione con il gigante della litografia ASML.

Oltre al suddetto miglioramento del processo, Intel ha anche dettagliato la sua roadmap di imballaggio. Intel Foundry Services (IFS) sta anche ottenendo il suo primo cliente con Amazon AWS.

EMIB: Il packaging EMIB è stato mostrato per la prima volta durante il lancio di Kaby Lake-Gnel 2017. Intel continuerà ad investire in EMIB con Sapphire Rapids che sarà la prima famiglia Xeon ad implementarlo. Intel ha detto che questo sarà il primo dispositivo a doppio reticolo di dimensioni nel settore che si confronta con un design monolitico. La prossima generazione EMIB passerà da un passo di dosso di 55 micron a 45 micron.

Foveros: Foveros è la soluzione di packaging 3D di Intel che ha debuttato con Lakefield processori Lakefield. La seconda generazione di Foveros sarà vista in Meteor Lake nel 2022 e sarà caratterizzata da un bump pitch di 36 micron, tile-spanning di più nodi tecnologici e un TDP tra 5 W e 125 W.

Foveros Omni: Foveros Omni permette un'ulteriore eterogeneità nelle piastrelle superiori e di base del pacchetto attraverso diversi nodi. Si prevede di iniziare la produzione in volume nel 2023.

Foveros Direct: Foveros Direct completerà Foveros Omni nel 2023, consentendo passi di urto inferiori a 10 nm per una densità di interconnessione ancora maggiore nell'impilamento 3D. Foveros Direct userà l'incollaggio diretto rame-rame per interconnessioni a bassa resistenza e confonderà la linea tra il pacchetto e il wafer.

L'azienda ha detto che maggiori informazioni sul processo e sul packaging saranno disponibili durante l'evento Intel InnovatiON che si terrà a San Francisco il 27-28 ottobre 2021.

Acquista l'Intel Core i7-11700K su Amazon

Fonte(i)

Webcast Intel Accelerated

Please share our article, every link counts!
> Recensioni e prove di notebook, tablets e smartphones > News > Newsarchive 2021 07 > Intel dettaglia nuove innovazioni di processo e nomi di nodi, Alder Lake 10 nm Enhanced SuperFin è ora Intel 7; Intel 20A è il processo a 2 nm per il 2024
Vaidyanathan Subramaniam, 2021-07-27 (Update: 2021-07-27)